1. Обязательно представиться на русском языке кириллицей (заполнить поле "Имя").
  2. Фиктивные имена мы не приветствуем. Ивановых и Пупкиных здесь уже достаточно.
  3. Не писать свой вопрос в первую попавшуюся тему - вместо этого создать новую тему.
  4. За поиск, предложение и обсуждение пиратского ПО и средств взлома - бан без предупреждения.
  5. Рекламу и частные объявления "куплю/продам/есть халтура" мы не размещаем ни на каких условиях.
  6. Перед тем как что-то написать - читать здесь и здесь, а студентам - обязательно здесь.
  7. Не надо писать в ЛС администраторам свои технические вопросы. Администраторы форума отлично знают как работает форум, а не все-все контроллеры, о которых тут пишут.

Измерение частоты периодического сигнала

ПЛК SIMATIC (S7-200, S7-1200, S7-300, S7-400, S7-1500, ET200)
Ответить

Автор темы
AlexRider
новенький
новенький
Сообщения: 1
Зарегистрирован: 23 окт 2021, 05:02
Имя: АлексРайдер
Страна: Россия
город/регион: Москва

Измерение частоты периодического сигнала

Сообщение AlexRider »

В наличии: ET 200 и модуль DI. На модуль приходит периодический прямоугольный сигнал, например, 100 миллисекунд = "1", затем "500" миллисекунд = "0". Нужно в режиме реального времени определять частоту сигнала в Гц. Так как я новичок :roll: :ges_help: по работе с TIA Portal, то буду рад любому совету как это реализовать.

LexSL
осмотрелся
осмотрелся
Сообщения: 192
Зарегистрирован: 16 дек 2011, 15:13
Имя: Алексей
Страна: Россия
Благодарил (а): 65 раз
Поблагодарили: 46 раз

Измерение частоты периодического сигнала

Сообщение LexSL »

Уже тут упоминали
статью по методам измерения частоты. понятно и доходчиво.
в той ветке приводили подсчет кол-ва оборотов - код функционально блока. там используется HSC (High speed counter в режиме Count).
HSC могут быть также сконфигурированы и в режиме подсчета частоты. HSC есть на S7-1200, S7-1500.

Укажите, какой модуль (артикул) вы используете.
Например, в серии 1500 в модуле DI 16x24VDC HF 6ES7 521-1BH00-0AB0 - 0 и 1 канал могут быть сконфигурированы в режиме Counter.
Используя этот режим и адаптировав код, можно высчитать частоту.
У сименса есть еще отличный мануал по счетчикам
Ответить

Вернуться в «Simatic TIA Portal»